设计 任务书 文档 开题 答辩 说明书 格式 模板 外文 翻译 范文 资料 作品 文献 课程 实习 指导 调研 下载 网络教育 计算机 网站 网页 小程序 商城 购物 订餐 电影 安卓 Android Html Html5 SSM SSH Python 爬虫 大数据 管理系统 图书 校园网 考试 选题 网络安全 推荐系统 机械 模具 夹具 自动化 数控 车床 汽车 故障 诊断 电机 建模 机械手 去壳机 千斤顶 变速器 减速器 图纸 电气 变电站 电子 Stm32 单片机 物联网 监控 密码锁 Plc 组态 控制 智能 Matlab 土木 建筑 结构 框架 教学楼 住宅楼 造价 施工 办公楼 给水 排水 桥梁 刚构桥 水利 重力坝 水库 采矿 环境 化工 固废 工厂 视觉传达 室内设计 产品设计 电子商务 物流 盈利 案例 分析 评估 报告 营销 报销 会计
 首 页 机械毕业设计 电子电气毕业设计 计算机毕业设计 土木工程毕业设计 视觉传达毕业设计 理工论文 文科论文 毕设资料 帮助中心 设计流程 
垫片
您现在所在的位置:首页 >>电子电气毕业设计 >> 文章内容
                 
垫片
   我们提供全套毕业设计和毕业论文服务,联系微信号:biyezuopin QQ:2922748026   
基于FPGA的信号发生器设计(代码+论文+开题报告)
文章来源:www.biyezuopin.vip   发布者:毕业作品网站  

基于FPGA的信号发生器设计

 

摘要信号发生器在各种实验和测试中是必不可少的器件,同时在生产和科技领域中有着广泛的运用,例如在通信、控制、雷达、教学、军事等领域。本次设计的内容是基于FPGA的信号发生器设计,要求用EAD技术设计一个信号发生器,此信号发生器的实现是利用EDA的硬件描述语言VHDL产生各个模块,然后在Altera公司提供的FPGA/CPLD开发集成环境的Quartus II软件上实现波形的编译、仿真、下载到Cyclone芯片上。信号发生器由波形产生模块、频率调节模块和波形选择模块组成,波形产生模块可以产生三角波、正弦波、方波、阶梯波、递增斜坡、递减斜坡、自定义波形等,通过波形选择模块和频率调节模块可以选择自己所需要的波形和调节一定的频率。

 

关键字:信号发生器;FPGAEDAVHDLQuartus

 FPGA implementation of signal generator

 

Abstract:The signal generator is a essential device in various experiments and tests, at the same time, it widely used in the production and technology field, such as communications, controlling, radar, teaching, military and other fields. The content of this design is the signal generator’s implementation of FPGA, it required to design a signal generator with EAD technology, which used EDA’s hardware description language VHDL to produce each modules, and then achieved the compilation, simulation and downloads of the waveform to the Cyclone chip by using Quartus II software on the integrated development environment of FPGA / CPLD which provided bycompany Altera. The signal generator contains the waveform generator module, frequency adjustment module and waveform selection module. The waveform generator module can produce triangular wave, sine wave, square wave, staircase, ascending slope, descending ramp, custom waveforms, etc., and the waveform selection module and the frequency adjustment module can select the waveform in their needs and adjust the certain frequency.

 

 

Keywords: Signal Generator; FPGA; EDA; VHDL; Quartus

 

目录

基于FPGA的信号发生器设计 I

摘要 I

Abstract: II

2绪论 2

1.1 信号发生器的研究意义与内容 2

1.2 信号发生器的发展现状和前景展望 3

1.3 信号发生器的总体设计思路 4

2 设计简介 5

2.1 FPGA简介 5

2.2VHDL硬件描述语言介绍 7

2.3QuartusII软件介绍 9

2.4 RLT级仿真 10

3 章系统硬件电路设计 11

3.1信号发生器的系统组成 11

3.2设计原理 12

3.3输入部分 13

3.3 D/A数模转换部分 15

4 章系统的软件设计 17

4.1系统软件流程图 17

4.2系统各模块 18

4.2.1 三角波产生模块 18

4.2.2 递减斜坡产生模块 20

4.2.3递增斜坡产生模块 22

4.2.4 方波产生模块 24

4.2.5 阶梯波产生模块 26

4.2.6 正弦波产生模块 28

4.2.7 自定义波形产生模块 34

4.2.8 波形选择器产生模块 36

4.2.9 频率调节器产生模块 39

4.2.10 主控制器产生模块 41

4.3引脚锁定 45

5 信号发生器的仿真 47

5.1递增斜坡的仿真结果及分析 47

5.2递减斜坡的仿真结果及分析 47

5.3 三角波的仿真结果及分析 48

5.4正弦波的仿真结果及分析 49

5.5方波的仿真结果及分析 49

5.6 阶梯波的仿真结果及分析 50

5.6 自定义波形的仿真结果及分析 50

参考文献 51

  全套毕业设计论文现成成品资料请咨询微信号:biyezuopin QQ:2922748026     返回首页 如转载请注明来源于www.biyezuopin.vip  

                 

打印本页 | 关闭窗口
本类最新文章
湘西新建110KV变电工程初步设 基于嵌入式系统的婴儿房湿度自动调 220kv线路继电保护设计 毕业
基于超声波的步进电机柔性控制系统 广州市某高校教学楼供配电系统设计 运料小车控制系统的设计 毕业论文
| 关于我们 | 友情链接 | 毕业设计招聘 |

Email:biyeshejiba@163.com 微信号:biyezuopin QQ:2922748026  
本站毕业设计毕业论文资料均属原创者所有,仅供学习交流之用,请勿转载并做其他非法用途.如有侵犯您的版权有损您的利益,请联系我们会立即改正或删除有关内容!